`default_nettype none // Simple test bench for iCEStick demo module tb; // simulus: a and b reg a, b; // results: 5 leds wire led1, led2, led3, led4, led5; // create Device Under Test and wire it up demo dut(led1,led2,led3,led4,led5,a,b); initial begin // save data for later $dumpfile("dump.vcd"); $dumpvars(0, dut); $display("Start"); a=1'b0; b=1'b0; $display(a); $display(b); #2 a=1'b1; $display(a); $display(b); #4 b=1'b1; $display(a); $display(b); #4 a=1'b0; $display(a); $display(b); #4 b=1'b0; $display(a); $display(b); #4 $finish; end endmodule